Swarajya Logo

News Brief

Making Intel Chip Champion Again: CEO Pat Gelsinger Unveils New Manufacturing Strategy For Producing World's Most Advanced Semiconductors By 2024

  • Intel unveiled a new manufacturing strategy that it says will make world's most advanced semiconductors by 2024 and help regain leadership in chip production that it lost to rivals Taiwan Semiconductor Manufacturing Co.(TSMC) and Samsung Electronics.
  • Announcing a departure from industry practice, Intel said that it would no longer refer to its latest generation of manufacturing technology based on the size of the transistors on its semiconductors. Intel argued that making distinctions based on nanometers was irrelevant because the such nomenclature no longer relates to the technology behind them, confusing both customers and investors.

Swarajya StaffJul 28, 2021, 10:38 AM | Updated 11:24 AM IST
Pat Gelsinger

Pat Gelsinger


Intel unveiled a new manufacturing strategy that it says will make world's most advanced semiconductors by 2024 and help regain leadership in chip production that it lost to rivals Taiwan Semiconductor Manufacturing Co.(TSMC) and Samsung Electronics.

Pat Gelsinger, CEO of Intel, presented the company's future process and packaging technology roadmaps during a virtual presentation he delivered as part of the "Intel Accelerated" event on Monday (Jul 26).

“We’re in a clear path to process performance-per-watt parity in [2024] and leadership in [2025],” Gelsinger said in the webcast. “Coupled with the ongoing leadership in packaging, we’re in a great position to achieve unquestioned leadership for our customers [and] our industry.”

Gelsinger detailed the advancements planned by the company for the next four years, from rebranding manufacturing processes to implementing new technologies that help package the printed silicon chips into a finished product.

"We are leveraging our unparalleled pipeline of innovation to deliver technology advances from the transistor up to the system level. Until the periodic table is exhausted, we will be relentless in our pursuit of Moore’s Law and our path to innovate with the magic of silicon.” Gelsigner said

In addition to announcing RibbonFET, its first new transistor architecture since 2011 and PowerVia, a new backside power delivery method, Gelsinger revealed the plans to adopt extreme ultraviolet lithography (EUV), a cutting edge chip-printing technology

The company is expected to ship EUV chips in 2023, and begin manufacturing a second generation of processors in the second half of that year.

Announcing a departure from industry practice, Intel said that it would no longer refer to its latest generation of manufacturing technology based on the size of the transistors on its semiconductors.

Intel argues that making distinctions based on nanometers is irrelevant because such nomenclature no longer relates to the technology behind them, confusing both customers and investors.

Nanometer size typically refers to the distance between transistors on a chip. The smaller the nanometer size, the more advanced and powerful a chip is, and thus more advanced and more costly to develop and produce.

The announcement comes as a clear signal that Intel will continue to focus on manufacturing despite recent setbacks, especially its struggles with producing 7-nanometer chips.

Intel’s most advanced chips currently use a 14-nanometer or a 10-nanometer process while TSMC is already setting up a massive state-of-the art manufacturing plant in southern Taiwan to produce 5-nanometer processor. 5-nanometer process are superior because more transistors can fit in the same sized chip, boosting power and efficiency.

Intel's waning prowess in microprocessor manufacturing came under increasing scrutiny as the company lost its prime position to Taiwan Semiconductor Manufacturing Co and South Korea’s Samsung Electronics Co Ltd.

Intel Process Technology Innovations

Intel also announced a detailed roadmap for node progression (Nodes refer to manufacturing techniques)

  • Intel 7 delivers an approximately 10% to 15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimizations. Intel 7 will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data center, which is expected to be in production in the first quarter of 2022.

  • Intel 4 fully embraces EUV lithography to print incredibly small features using ultra-short wavelength light. With an approximately 20% performance-per-watt increase, along with area improvements, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client and Granite Rapids for the data center.

  • Intel 3 leverages further FinFET optimizations and increased EUV to deliver an approximately 18% performance-per-watt increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.

  • Intel 20A ushers in the angstrom era with two breakthrough technologies, RibbonFET and PowerVia. RibbonFET, Intel’s implementation of a gate-all-around transistor, will be the company’s first new transistor architecture since it pioneered FinFET in 2011. The technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia is Intel’s unique industry-first implementation of backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer. Intel 20A is expected to ramp in 2024. The company is also excited about the opportunity to partner with Qualcomm using its Intel 20A process technology.

  • 2025 and Beyond: Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. Intel is also working to define, build and deploy next-generation High NA EUV, and expects to receive the first production tool in the industry. Intel is partnering closely with ASML to assure the success of this industry breakthrough beyond the current generation of EUV.

  • Gelsinger also announced Amazon Web Services as a first major customer for its foundry services packaging solutions. Packaging technology is the final step in the chipmaking process that integrates different types of chips onto wafers and is now viewed as the next key battleground for industry leaders.

    Qualcomm will be a customer for the Intel Angstrom 20A process service.

    Join our WhatsApp channel - no spam, only sharp analysis