World

Amid AI Frenzy, TSMC Brings Advanced Chip Packaging To US For The First Time

Swarajya Staff

Oct 07, 2024, 03:43 PM | Updated 04:49 PM IST


A logo of Taiwanese chip giant TSMC can be seen in Tainan, Taiwan, Dec. 29, 2022 (REUTERS)
A logo of Taiwanese chip giant TSMC can be seen in Tainan, Taiwan, Dec. 29, 2022 (REUTERS)

Taiwanese contract chipmaker TSMC has partnered with Arizona-based Amkor Technology to bring advanced chip packaging and testing capabilities to the United States (US) for the first time. 

On Friday, TSMC, the world’s most valuable chipmaker, and Amkor, the second-largest provider of outsourced semiconductor assembly and test (OSAT) services, announced a memorandum of understanding, marking a significant milestone in American efforts to onshore critical chip supply chain technologies.

As part of the agreement, TSMC will contract Amkor’s turnkey packaging and testing services at their planned $2 billion hip packaging and testing facility in Peoria, Arizona. This facility will support TSMC’s customers, particularly those utilising its advanced wafer fabrication plants in Phoenix.

The companies will also jointly develop advanced packaging technologies, including TSMC's Integrated Fan-Out (InFO) and Chip on Wafer on Substrate (CoWoS), to meet customer demands. InFO is a packaging technology long used by Apple to integrate memory chips with processors in its iPhone and MacBook.

Tackling Industry Saturation

With the semiconductor industry approaching the physical limits of transistor density and chip size, advanced multichip packaging is being embraced as the future. 

This approach combines smaller, performance-optimized dies into a single package, offering a more cost-effective and efficient solution than attempting to cram more transistors onto a single chip.

Introduced around the turn of the millennium, advanced packaging technologies such as 2.5-D, 3-D, fan-out, and system-on-a-chip (SoC) packaging are revolutionising the industry, supplementing the legacy wire-bonding and flip-chip methods that have dominated for over half a century.

While advanced packaging currently accounts for about 8 per cent of the semiconductor market, that share is expected to more than double by 2030, exceeding $96 billion. Although smartphones and consumer electronics drive current demand, the rapid growth of AI, particularly in generative AI (GenAI) applications, is set to fuel further expansion.

This surge in demand has positioned advanced chip packaging as a crucial battleground for leading global chipmakers, including TSMC, Intel, and Samsung.

The TSMC-Amkor partnership also addresses concerns raised by US policymakers and industry leaders. Despite increased domestic production of cutting-edge chips, there was a lingering risk that those chips would still need to be sent to Asia for packaging and testing. 

To mitigate this, the US government has allocated an additional $1.6 billion to support the development of advanced packaging capabilities within the country, emphasising the strategic importance of this collaboration.


Get Swarajya in your inbox.


Magazine


image
States